library ieee; use ieee.std_logic_1164.all; entity hexto7seg3 is port ( hex_digit3 : in std_logic_vector(3 downto 0); segment3 :out std_logic_vector(6 downto 0) ); end hexto7seg3; architecture hexto7seg_a of hexto7seg3 is begin process(hex_digit3) begin case hex_digit3 is when "0000" => segment3 <= "1000000" ; when "0001" => segment3 <= "1111001" ; when "0010" => segment3 <= "0100100" ; when "0011" => segment3 <= "0110000" ; when "0100" => segment3 <= "0011001" ; when "0101" => segment3 <= "0010010" ; when "0110" => segment3 <= "0000010" ; when "0111" => segment3 <= "1111000" ; when "1000" => segment3 <= "0000000" ; when "1001" => segment3 <= "0010000" ; when "1010" => segment3 <= "0001000" ; when "1011" => segment3 <= "0000011" ; when "1100" => segment3 <= "1000110" ; when "1101" => segment3 <= "0100001" ; when "1110" => segment3 <= "0000110" ; when "1111" => segment3 <= "0001110" ; when others => segment3 <= "1000000" ; end case ; end process; end hexto7seg_a;